中大機構典藏-NCU Institutional Repository-提供博碩士論文、考古題、期刊論文、研究計畫等下載:Item 987654321/86872
English  |  正體中文  |  简体中文  |  Items with full text/Total items : 78852/78852 (100%)
Visitors : 38473131      Online Users : 2474
RC Version 7.0 © Powered By DSPACE, MIT. Enhanced by NTU Library IR team.
Scope Tips:
  • please add "double quotation mark" for query phrases to get precise results
  • please goto advance search for comprehansive author search
  • Adv. Search
    HomeLoginUploadHelpAboutAdminister Goto mobile version


    Please use this identifier to cite or link to this item: http://ir.lib.ncu.edu.tw/handle/987654321/86872


    Title: 基於靜態隨機存取記憶體的內存計算記憶體測試;Testing of SRAM-Based In-Memory-Computing Memories
    Authors: 蔡采玲;Tsai, Tsai-Ling
    Contributors: 電機工程學系
    Keywords: 靜態隨機存取記憶體;記憶體測試;錯誤模型;SRAM;memory testing;computing-in-memory;CIM;Fault model
    Date: 2021-10-12
    Issue Date: 2021-12-07 13:22:43 (UTC+8)
    Publisher: 國立中央大學
    Abstract: 大多現代計算機系統是建立在 馮紐曼(von Neumann)架構上,也就是架構上記憶體及處理器是分開來的,當資料在這兩者之間做搬移時,會造成能量的耗損以及遭遇表現上的限制,尤其是面對需要大量資料處理的應用,內存計算(CIM)是一種為解決這種瓶頸而提出的解決方式,CIM記憶體有記憶體模式與計算(computing)模式。現今已有多種靜態隨機存取記憶體(SRAM)單元(cell)及SRAM的模型用來實現CIM。這使得測試CIM記憶體比測試傳統記憶體更為困難。在此篇論文我們提出一個測試CIM SRAM的方法,包括比較缺陷(defect)導致的故障(fault)模型,還有如何發展測試演算法。一開始我們會先介紹CIM SRAMs在記憶體模式與計算模式中建立故障模型的方式,接著比較缺陷在這兩種模式導致的故障模型,最後介紹如何發展CIM SRAM的測試演算法。我們所提出的方法會使用8T及10T SRAM單元作個案討論。具有8T和10T SRAM單元的CIM SRAM的故障是透過注入電氣缺陷來定義的,接著比較在記憶體模式和計算模式中發生的故障模型,最後針對記憶體模式與計算模式的故障分別開發了測試演算法。;Most modern computer systems are based on von Neumann architecture, which separates the memory and the processor. The data movement between the memory and the processor
    limits the performance and power consuming, especially for data-intensive applications. Computing-In-Memory (CIM) architecture is one possible approach to cope with the bottleneck. A CIM memory can be operated in memory mode or computing mode. Also, various memory cells were proposed to realize CIM memories. Those make the testing of CIM memories
    to be more difficult than that of conventional memories. In this thesis, we propose a test methodology for CIM SRAMs, including fault modeling, fault collapsing by defects, and
    test development. A fault modeling method of CIM SRAMs in memory mode and computing mode is introduced first. Subsequently, faults in memory mode and computing mode are collapsed by defects. Then, a test development method for CIM SRAMs is introduced. The proposed test methodology applied to CIM SRAMs with 8T and 10T SRAM cells are presented as case studies. Faults of the CIM SRAMs with 8T and 10T SRAM cells are defined by injecting electrical defects. Then, faults occur in both memory mode and computing mode are collapsed by defects. Finally, test algorithms are developed for the faults in memory mode and computing mode.
    Appears in Collections:[Graduate Institute of Electrical Engineering] Electronic Thesis & Dissertation

    Files in This Item:

    File Description SizeFormat
    index.html0KbHTML131View/Open


    All items in NCUIR are protected by copyright, with all rights reserved.

    社群 sharing

    ::: Copyright National Central University. | 國立中央大學圖書館版權所有 | 收藏本站 | 設為首頁 | 最佳瀏覽畫面: 1024*768 | 建站日期:8-24-2009 :::
    DSpace Software Copyright © 2002-2004  MIT &  Hewlett-Packard  /   Enhanced by   NTU Library IR team Copyright ©   - 隱私權政策聲明