中大機構典藏-NCU Institutional Repository-提供博碩士論文、考古題、期刊論文、研究計畫等下載:Item 987654321/86872
English  |  正體中文  |  简体中文  |  全文筆數/總筆數 : 78852/78852 (100%)
造訪人次 : 38473908      線上人數 : 245
RC Version 7.0 © Powered By DSPACE, MIT. Enhanced by NTU Library IR team.
搜尋範圍 查詢小技巧:
  • 您可在西文檢索詞彙前後加上"雙引號",以獲取較精準的檢索結果
  • 若欲以作者姓名搜尋,建議至進階搜尋限定作者欄位,可獲得較完整資料
  • 進階搜尋


    請使用永久網址來引用或連結此文件: http://ir.lib.ncu.edu.tw/handle/987654321/86872


    題名: 基於靜態隨機存取記憶體的內存計算記憶體測試;Testing of SRAM-Based In-Memory-Computing Memories
    作者: 蔡采玲;Tsai, Tsai-Ling
    貢獻者: 電機工程學系
    關鍵詞: 靜態隨機存取記憶體;記憶體測試;錯誤模型;SRAM;memory testing;computing-in-memory;CIM;Fault model
    日期: 2021-10-12
    上傳時間: 2021-12-07 13:22:43 (UTC+8)
    出版者: 國立中央大學
    摘要: 大多現代計算機系統是建立在 馮紐曼(von Neumann)架構上,也就是架構上記憶體及處理器是分開來的,當資料在這兩者之間做搬移時,會造成能量的耗損以及遭遇表現上的限制,尤其是面對需要大量資料處理的應用,內存計算(CIM)是一種為解決這種瓶頸而提出的解決方式,CIM記憶體有記憶體模式與計算(computing)模式。現今已有多種靜態隨機存取記憶體(SRAM)單元(cell)及SRAM的模型用來實現CIM。這使得測試CIM記憶體比測試傳統記憶體更為困難。在此篇論文我們提出一個測試CIM SRAM的方法,包括比較缺陷(defect)導致的故障(fault)模型,還有如何發展測試演算法。一開始我們會先介紹CIM SRAMs在記憶體模式與計算模式中建立故障模型的方式,接著比較缺陷在這兩種模式導致的故障模型,最後介紹如何發展CIM SRAM的測試演算法。我們所提出的方法會使用8T及10T SRAM單元作個案討論。具有8T和10T SRAM單元的CIM SRAM的故障是透過注入電氣缺陷來定義的,接著比較在記憶體模式和計算模式中發生的故障模型,最後針對記憶體模式與計算模式的故障分別開發了測試演算法。;Most modern computer systems are based on von Neumann architecture, which separates the memory and the processor. The data movement between the memory and the processor
    limits the performance and power consuming, especially for data-intensive applications. Computing-In-Memory (CIM) architecture is one possible approach to cope with the bottleneck. A CIM memory can be operated in memory mode or computing mode. Also, various memory cells were proposed to realize CIM memories. Those make the testing of CIM memories
    to be more difficult than that of conventional memories. In this thesis, we propose a test methodology for CIM SRAMs, including fault modeling, fault collapsing by defects, and
    test development. A fault modeling method of CIM SRAMs in memory mode and computing mode is introduced first. Subsequently, faults in memory mode and computing mode are collapsed by defects. Then, a test development method for CIM SRAMs is introduced. The proposed test methodology applied to CIM SRAMs with 8T and 10T SRAM cells are presented as case studies. Faults of the CIM SRAMs with 8T and 10T SRAM cells are defined by injecting electrical defects. Then, faults occur in both memory mode and computing mode are collapsed by defects. Finally, test algorithms are developed for the faults in memory mode and computing mode.
    顯示於類別:[電機工程研究所] 博碩士論文

    文件中的檔案:

    檔案 描述 大小格式瀏覽次數
    index.html0KbHTML131檢視/開啟


    在NCUIR中所有的資料項目都受到原著作權保護.

    社群 sharing

    ::: Copyright National Central University. | 國立中央大學圖書館版權所有 | 收藏本站 | 設為首頁 | 最佳瀏覽畫面: 1024*768 | 建站日期:8-24-2009 :::
    DSpace Software Copyright © 2002-2004  MIT &  Hewlett-Packard  /   Enhanced by   NTU Library IR team Copyright ©   - 隱私權政策聲明