English  |  正體中文  |  简体中文  |  全文筆數/總筆數 : 78852/78852 (100%)
造訪人次 : 38468592      線上人數 : 227
RC Version 7.0 © Powered By DSPACE, MIT. Enhanced by NTU Library IR team.
搜尋範圍 查詢小技巧:
  • 您可在西文檢索詞彙前後加上"雙引號",以獲取較精準的檢索結果
  • 若欲以作者姓名搜尋,建議至進階搜尋限定作者欄位,可獲得較完整資料
  • 進階搜尋


    請使用永久網址來引用或連結此文件: http://ir.lib.ncu.edu.tw/handle/987654321/86924


    題名: 一個可靠的靜態隨機存取記憶體內運算結構: 設計指南與耐老化策略研究;A Reliable SRAM In-Memory Computing Architecture: Design Guidelines and Aging-Tolerance Strategies
    作者: 張維;Chang, Wei
    貢獻者: 電機工程學系
    關鍵詞: 靜態存取記憶體;記憶體內運算;正偏壓溫度不穩定性;抗老化方法;老化偵測方法;SRAM;In-Memory Computing;Positive Bias Temperature Instability;PBTI;Aging Tolerance Method;Aging Detection Method
    日期: 2021-11-26
    上傳時間: 2021-12-07 13:26:32 (UTC+8)
    出版者: 國立中央大學
    摘要: 記憶體內運算 (In-memory computing, IMC) 能夠透過類比訊號的行為模式在記憶體內部直接做資料的處理,此方法提供了既快速又有效率的布林邏輯運算 (Boolean logic computation)。在眾多記憶體內運算架構中,有一種架構是以靜態隨機存取記憶體 (Static Random Access Memory, SRAM) 為基礎,其行為是使用觀察放電的幅度來實現不同的布林邏輯運算。
    從前述可得知,IMC的運算基本上是以類比的行為來進行,所以IMC對於電壓或是電流的變異是十分敏感,因此IC製程、電壓、溫度等變異 (PVT variation) 以及老化效應 (Aging effects) 會對記憶體內部運算造成嚴重的影響,甚至是運算結果的正確性。因此,如何改善記憶體內部運算結果的正確性並延長系統的壽命就成為一個需要被解決的問題。
    在本篇論文中,我們主要針對正偏壓溫度不穩定性 (Positive Bias Temperature Instability, PBTI) 老化效應對記憶體內部運算所造成的影響。在觀察不同數量的列對於記憶體內部運算架構的不同效果之後,我們提出了建構基於SRAM的記憶體內部運算架構設計指南以及抗老化效應的方法。其中包含使用Supplemental Transistors (ST)以及透過調節RWL寬度來改善受到PBTI效應的8T SRAM的IMC架構的方法,此耐老化方法也適用於其他同類型的SRAM IMC架構。另外,為了得知記憶體內部的健康狀況,我們提出了一個自我檢測的方法,可得知記憶體內部各列的老化嚴重程度,配合提出的抗老化方法,達到延長系統壽命的目標。本論文的實驗結果顯示我們所提出的方法對於不同列的IMC架構皆可行,並能使得系統的壽命有明顯的延長。;In-memory computing (IMC) has been proposed to overcome the well-known von-Neumann bottleneck. IMC can directly accomplish data processing inside memory arrays, and it provides efficient and fast operations such as Boolean logic computation. With various IMC architectures, one of such an architecture is SRAM-based IMC, which uses the voltage amplitude to realize Boolean logic functions such as NOR, NAND and XOR.
    Based on the previous description, we know that IMC operation is sensitive to the deviations. Therefore, the PVT variations as well as aging effects will seriously influence the accuracy of IMC results. Moreover, we observe that there are difference between different rows of memories.
    In this thesis, we concentrate on the Positive Bias Temperature Instability (PBTI) effect, which will eventually cause the incorrect IMC results. Hence we provide a PBTI-aware SRAM IMC architecture. To achieve this goal, we propose two aging tolerance methods to maintain the correctness of IMC results in SRAM IMC architecture. Furthermore, we develop a self-testing aging detection method to determine the health condition of each row in memory. Finally, we give the guidelines for constructing such IMC architectures. Experimental results show the IMC architecture could still work correctly under PBTI effect with our methods. Moreover, the system lifetime can further be extended.
    顯示於類別:[電機工程研究所] 博碩士論文

    文件中的檔案:

    檔案 描述 大小格式瀏覽次數
    index.html0KbHTML129檢視/開啟


    在NCUIR中所有的資料項目都受到原著作權保護.

    社群 sharing

    ::: Copyright National Central University. | 國立中央大學圖書館版權所有 | 收藏本站 | 設為首頁 | 最佳瀏覽畫面: 1024*768 | 建站日期:8-24-2009 :::
    DSpace Software Copyright © 2002-2004  MIT &  Hewlett-Packard  /   Enhanced by   NTU Library IR team Copyright ©   - 隱私權政策聲明