中大機構典藏-NCU Institutional Repository-提供博碩士論文、考古題、期刊論文、研究計畫等下載:作者相关文件
English  |  正體中文  |  简体中文  |  全文笔数/总笔数 : 78937/78937 (100%)
造访人次 : 39826874      在线人数 : 1239
RC Version 7.0 © Powered By DSPACE, MIT. Enhanced by NTU Library IR team.
搜寻范围 查询小技巧:
  • 您可在西文检索词汇前后加上"双引号",以获取较精准的检索结果
  • 若欲以作者姓名搜寻,建议至进阶搜寻限定作者字段,可获得较完整数据
  • 进阶搜寻

    类别浏览

    正在载入社群分类, 请稍候....

    年代浏览

    正在载入年代分类, 请稍候....

    "陳泰蓁"的相关文件  

    回到依作者浏览

    显示 8 项.

    类别 日期 题名 作者 档案
    [電機工程學系] 研究計畫 2013-12-01 應用於智慧生活與照護之節能感測網路---子計畫五:應用於無線感測網路之積體電路設計與佈局自動化技術( I );Design Automation for Wireless Sensor Network (I) 陳泰蓁; 劉建男
    [電機工程學系] 研究計畫 2013-12-01 應用於智慧生活與照護之節能感測網路---總計畫暨子計畫一:結合物件辨識與視訊壓縮之編解碼器與其人機互動平台( I );Joint Source and Vision Human-Computer Interaction Platform (I) 蔡宗漢; 陳泰蓁; 薛木添; 傅家相; 鄭國興; 蔡佩芸; 陳慶瀚
    [電機工程學系] 研究計畫 2012-12-01 考量雙圖案微影技術之電路軌道繞線;Track Routing for Double Patterning Technology 陳泰蓁
    [電機工程學系] 研究計畫 2012-09-01 考量雙圖案微影技術之電路軌道繞線 陳泰蓁
    [電機工程學系] 研究計畫 2011-08-01 應用於三維積體電路之設計、自動化與測試關鍵技術-子計畫三:應用於三維積體電路之效能導向實體設計自動化(I);Performance-Driven Physical Design for 3d Ics 陳泰蓁
    [電機工程學系] 研究計畫 2010-08-01 結合製程變異、良率與佈局考量的前瞻類比積體電路設計自動化系統---子計畫四:考量製程變異之類比積體電路佈局自動化技術(I); Layout Automation Techniques for Analog Circuits Considering Process Variation(I) 陳泰蓁
    [電機工程學系] 研究計畫 2009-09-01 在奈米製程下考量效能和可製造性之多階層無格線式全晶片繞線;Multilevel Gridless Full-Chip Routing for Performance and Manufacturability for Nanometer Technologies 陳泰蓁
    [電機工程學系] 研究計畫 2008-09-01 在奈米製程下考量效能和可製造性之多階層無格線式全晶片繞線;Multilevel Gridless Full-Chip Routing for Performance and Manufacturability for Nanometer Technologies 陳泰蓁

    ::: Copyright National Central University. | 國立中央大學圖書館版權所有 | 收藏本站 | 設為首頁 | 最佳瀏覽畫面: 1024*768 | 建站日期:8-24-2009 :::
    DSpace Software Copyright © 2002-2004  MIT &  Hewlett-Packard  /   Enhanced by   NTU Library IR team Copyright ©   - 隱私權政策聲明